Home AMX User Forum AMX General Discussion

is it possible to pass a structure to a module?

Hello!

Is it possible to pass a structure to a module????

For example, i have the next structure

structure Example:
{
integer number
char text[50]
}

And i?ve tried to create a module in different ways, who gets the structure type as a parameter, but none has compiled well and always gives me the same error ("Illegal or invalid syntax in code"), so i don?t know if it is possible to pass an structure as parameter to a module or not.

I?ve tried to do it like the next examples. None worked.

MODULE_NAME='ExampleModule'(struct sExample)

MODULE_NAME='ExampleModule'(struct Example sExample)

MODULE_NAME='ExampleModule'(Example sExample)

Are any correct?

Thanks for your help!!
Salutes.

Comments

Sign In or Register to comment.